Testing and DFT tools - PowerPoint PPT Presentation

About This Presentation
Title:

Testing and DFT tools

Description:

TURBO TESTER Testing and DFT tools ( Installed in our PLD Lab ) Maksim Jenihhin IXX9500 Doktoriseminar DFT Package tools Design Flow ATPG library MG DFT Documentation ... – PowerPoint PPT presentation

Number of Views:97
Avg rating:3.0/5.0
Slides: 9
Provided by: ttu91
Category:

less

Transcript and Presenter's Notes

Title: Testing and DFT tools


1
Testing and DFT tools
TURBO TESTER
  • ( Installed in our PLD Lab )

Maksim Jenihhin
IXX9500 Doktoriseminar
2
DFT Package tools
  • DFTAdvisor insert internal scan circuitry
  • BSDArchitect insert boundary scan circuitry
  • FastScan quick full-scan ATPG and fault
    simulator
  • FlexTest sequential ATPG and fault simulator
  • LBISTArchitect insert logic BIST circuitry
  • MBISTArchitect insert memory BIST circuitry
  • Embedded Deterministic Test (EDT) and
    TestKompress
  • - generate and compress deterministic test
    patterns

server/home/usergtcat .cshrc setenv
MENTOR setenv MENTOR_64
3
Design Flow
DF
4
ATPG library
Levels RTL Gate
ATPG library is NOT required if the design
netlist fully defines all the primitives. (It
can occur only in Verilog and TDL formats.)
Formats EDIF VHDL Verilog Genie TDL Model
Where to get one?
1. Use one preinstalled for Mentor Graphics
training examples. To locate it use find
command. 2. Use class.atpglib compatible with
Turbo Tester class.lib (Synopsys) www.pld.ttu.ee/
maksim/mg/class.atpglib 3. Create your own for
your particular library a. Manually b. Use
LibComp to translate it from Verilog
gt find /cad/m_04/ -name atpglib
-print ... /cad/m_04/dft/shared/pkgs/testkompress.
ss5/systest_data/atpglib
5
MG DFT Documentation
  • Local
  • /cad/m_04/dft/shared/pdfdocs
  • Mentor Graphics SUPPORTNET (requires free
    registration)
  • http//www.mentor.com/supportnet/
  • External storage of Mentor Graphics
    Design-for-Test 99 documentation
  • http//www.fm.vslib.cz/kes/bs/mg/mg.html

6
Synopsys TetraMAX
Offers a choice of ATPG modes Basic-Scan
ATPG, an efficient combinational-only mode
for full-scan designs Fast-Sequential
ATPG for limited support of partial-scan
designs Full-Sequential ATPG for maximum
test coverage in partial-scan designs It is
integrated with Synopsys DFT Compiler.
7
TURBO TESTER Environment
Algorithms Deterministic Random Genetic
Circuits Combinational Sequential
Formats EDIF AGM
Levels Gate RTL
Methods BILBO CSTP Hybrid
Fault models Stuck-at faults Physical defects
8
TT Development Team
Prof. Raimund Ubar Jaan Raik Elmet Orasson Artur
Jutman Gert Jervan Margit Aarna Eero Ivask Sergei
Devadze Vladislav Vislogubov Maksim Jenihhin
Department of Computer Engineering
Official website http//www.pld.ttu.ee/TT
Write a Comment
User Comments (0)
About PowerShow.com